dpaul
Advanced Member level 5
I have not referred to the LRM, but it looks like 2D arrays (type can be any) cannot be referenced via alias.
Or is my understanding incorrect?
Or is my understanding incorrect?
Follow along with the video below to see how to install our site as a web app on your home screen.
Note: This feature may not be available in some browsers.
** Error (suppressible): C:/work/../../../*_tb.vhd(201): (vcom-1309) Target of the external name must be elaborated before the external name is evaluated.
.
.
type arr_64b_17d is array (16 downto 0) of std_logic_vector(63 downto 0);
signal axi_rd_arr_tb : arr_64b_17d;
begin
proc1: process(...)
begin
.
.
end process proc1;
.
.
.
alias_test_p: process(clk)
alias axi_rd_arr is <<signal top_inst.submod1.submod2.rd_data_arr : arr_64b_17d>>;
begin
if rising_edge(clk) then
axi_rd_arr_tb <= axi_rd_arr;
end if;
end process alias_test_p;
.
.