Verilog wrapper module help

Status
Not open for further replies.

auroral

Newbie level 6
Joined
Apr 13, 2010
Messages
14
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,387
Hi,

I'm a beginner and am trying to find out how to go about this module. This module has a bunch of SRAMs (single port) All it does is read incoming data one-by-one and <once a particular condition is satisfied> outputs out all the data at once.

How do I code this module for [this wrapper-like module around these SRAMs?]

Help appreciated, thanks!
 
Last edited:

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…