Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Verilog time keyword.

Status
Not open for further replies.

suhassmiley

Newbie level 5
Joined
Jun 4, 2011
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,328
time event_start;

begin
event_start = $time;
end

why do we have to declare time event_start? we can simply declare event_start = $time?
 

what is begin attached to?
 

I just posted in general ok consider this

time save_sim_time;
initial
save_sim_time = $time;
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top