Verilog time keyword.

Status
Not open for further replies.

suhassmiley

Newbie level 5
Joined
Jun 4, 2011
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,328
time event_start;

begin
event_start = $time;
end

why do we have to declare time event_start? we can simply declare event_start = $time?
 

what is begin attached to?
 

I just posted in general ok consider this

time save_sim_time;
initial
save_sim_time = $time;
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…