Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Verilog Synthesis Error

Status
Not open for further replies.

Abdulquadir

Newbie level 1
Joined
May 1, 2014
Messages
0
Helped
0
Reputation
0
Reaction score
0
Trophy points
0
Activity points
0
Hi Friends,

I have written a code for 8 bit RCA.
The file includes half adder, full adder and 8 bit RCA. I have compiled the code using VCS and it was successful. Further I have synthesis the script using synthesis script and genererated netlist.

From the generated netlist I am trying to compile the code again for which I am getting an error which states "Invalid Instantiation" I am not able to rectify this error Il b very helpful if some one will be able to guide me.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top