[SOLVED] verilog set parameter to a module

Status
Not open for further replies.

beginner_EDA

Full Member level 4
Joined
Aug 14, 2013
Messages
191
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
3,854
Hi,
I came across following generated code from vivado:


Code Verilog - [expand]
1
2
3
4
5
6
(* EXAMPLE_SIMULATION = "1" *) 
  (* SIM_SPEEDUP = "FALSE" *) 
  some_ip some_ip_inst(
 .a(a),
.b(b)
 );





I know to set parameter inside module we use this way:
some_ip

Code Verilog - [expand]
1
2
3
4
5
6
7
8
#(
        .EXAMPLE_SIMULATION  (1), 
        .SIM_SPEEDUP ("FALSE")
       )
    some_ip_inst(
 .a(a),
.b(b)
 );



or use defparam to overreide :
defparam hierarchical_path = paratemter value;


but I didn't understand which kind of syntax is this?

Code Verilog - [expand]
1
2
(* EXAMPLE_SIMULATION = "1" *) 
  (* SIM_SPEEDUP = "FALSE" *)


can anybody explain it?
 
Last edited by a moderator:

The (* some_attribute = some_value *) are for synthesis attributes. Though in this case the attributes don't look like synthesis attributes, they must be custom attributes. They certainly don't show up as attributes in the synthesis guide.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…