Verilog module related doubt

Status
Not open for further replies.

rvidya

Junior Member level 1
Joined
Jun 21, 2012
Messages
16
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,283
Location
Kharagpur, India
Activity points
1,372
hi,

In Verilog, suppose I have a module A inside which a smaller module B should be instantiated. Suppose in module B's code the first line is like :

module B(o1,o2,i1,i2);
where o1,o2 are outputs and i1,i2 are inputs of B. But suppose, while instantiation, A is not able to give both the inputs needed for i.e, A is producing only i1 and i2 is produced from a third module called module C. In such a situation how do we instantiate B in A.??

I hope the question is clear.

Thank you.
 
Last edited:

Think which is top module first, consider another input in module A and instantiate if C is the top module
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…