module read_mem;
integer fin;
integer fout;
reg [7:0] data8;
reg [127:0] data128;
integer idx;
integer code;
initial begin
fout = $fopen("test.bin", "w+");
idx = 0;
data8 = 0;
data128 = 0;
while (idx < 16) begin
$display("Data8 = %h", data8);
$fwrite(fout, "%z", data8);
data8 = data8 + 1'b1;
idx = idx + 1;
end
$fclose(fout);
fin = $fopen("test.bin", "r+");
while (!$feof(fin)) begin
code = $fscanf(fin, "%z", data128);
$display("Data128 = %h", data128);
end
$fclose(fin);
end // initial begin
endmodule // read_mem