Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Verilog code generator for CRC calculation for polynomials

Status
Not open for further replies.

tomash1885

Newbie level 2
Joined
Aug 7, 2016
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
20
Hi,

I'm looking for Verilog code for crc calculations for the CRC16-CCITT polynomial

g(x) = x^16 + x^12 + x^5+ 1

My input data width is 112.

Can any one help?

Thanks
 

This task isn't difficult, even for a beginner level, it's just a delayed sum.
What have you made so far to code and simulate that ?
 

Thanks Andre,

Actually, CRC is quite new to me. I understand the basics but I'm not quite sure how to translate it to Verilog code consisted of xor gates and flipflops. I saw only 1 article which kind of explains it (http://outputlogic.com/my-stuff/parallel_crc_generator_whitepaper.pdf)
but it's not exactly what I need.

Is there a simple way to write this code without making lots of iterations?
CRC isn't my focus in my project so if there is a good code generator or even calculator of the CRC bits (as function of the the input data bits for the CCITT polynomial) it'll be enough.

I'd appreciate for any help.
Tomer
 


Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top