verilog code for reading and writing a textfile

Status
Not open for further replies.

pinkyvidya

Member level 2
Joined
Sep 9, 2009
Messages
49
Helped
5
Reputation
10
Reaction score
4
Trophy points
1,288
Location
kerala
Activity points
1,655
anyone please help me in writing a verilog code for reading values from textfile and store it in memory......my textfile contains pixels values of a converted grayscale image...i want to take those datas for processing in verilog....please anyone help me...i will be very thankful....
 

in verilog u have $readmemh. this command will read the text file and save it in memory
 

hello pinkyvidya

how did you convert the grayimage to textfile???
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…