verilog code for a frequency multiplier

Status
Not open for further replies.

joseph1991

Newbie level 4
Joined
May 12, 2012
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,315
i really need to know if is possible to multiply a clock frequency in verilog

or maybe someone can explain me how to delay a clock signal with a quarter of a period
 

Dear

It is simple. Clocking wizard can do that for you for V6 xilinx FPGAs.

Or if using Spartan series or less than virtex 6 in virtex series, DCM can do that for you (of course Xilinx FPGAs)

Use Core Generator to launch either... It will make things clearer to you....Use **broken link removed**
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…