Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Verilog code error - Illegal reference to net

Status
Not open for further replies.

vreg

Member level 4
Joined
Oct 16, 2012
Messages
70
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
1,935
Code:
module system(r,g,y,counter);
  output reg r,g,y;
  input [3:0] counter;

  always @ (counter)
  if (counter >= 4'b1011 && counter <= 4'b1111)
    begin
      counter = 4'b0000;
      g = 0;
      y = 0;
      r = 0;
    end
  else if (counter >= 4'b1001 && counter < 4'b1011)
    begin
      g = 0;
      y = 0;
      r = 1;
    end
  else if (counter >= 4'b1000 && counter < 4'b1001)
    begin
      g = 0;
      y = 1;
      r = 0;
    end
  else
    begin
      g = 1;
      y = 0;
      r = 0;
    end

endmodule

Illegal reference to net "counter" on line no. 8
Please Help...
 

counter is an input
 
  • Like
Reactions: vreg

    vreg

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top