VCS 'var' compiling issue

Status
Not open for further replies.

faizalism

Member level 4
Joined
Feb 3, 2006
Messages
78
Helped
4
Reputation
8
Reaction score
4
Trophy points
1,288
Activity points
1,850
Hi,

I have problem compile verilog files that contained 'var' . Log file shows :

Error-[SE] Syntax error
Following verilog source has syntax error :
"tryitout.sv", 27: token is 'var'
input var real n_out, p_out;
^
System verilog keyword 'var' is not expected to be used in this context.

Here are my switches that I am using: -sverilog -timescale=1ps/1ps -debug_all

Any input guys?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…