Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

(vcom -11) could not find work.std_logic_arith.

Status
Not open for further replies.

abu9022

Member level 3
Joined
Jan 2, 2013
Messages
60
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
1,715
Hi friends
I have some error while give the below command
code:
Code:
do compile.do


Errors
HTML:
 ** Warning: (vlib-34) Library already exists at "work".
# ** Error: (vcom-11) Could not find work.std_logic_arith.
# ** Error: iu.vhd(29): (vcom-1195) Cannot find expanded name "work.std_logic_arith".
# ** Error: iu.vhd(29): Unknown expanded name.
# ** Error: (vcom-11) Could not find work.std_logic_unsigned.
# ** Error: iu.vhd(33): (vcom-1195) Cannot find expanded name "work.std_logic_unsigned".
# ** Error: iu.vhd(33): Unknown expanded name.
# ** Error: (vcom-11) Could not find work.std_logic_unsigned.
# ** Error: iu.vhd(34): (vcom-1195) Cannot find expanded name "work.std_logic_unsigned".
# ** Error: iu.vhd(34): Unknown expanded name.
# ** Error: (vcom-11) Could not find work.std_logic_unsigned.
# ** Error: iu.vhd(35): (vcom-1195) Cannot find expanded name "work.std_logic_unsigned".
# ** Error: iu.vhd(35): Unknown expanded name.
# ** Error: iu.vhd(45): VHDL Compiler exiting
# ** Error: /mnt/iscsi/mgc/AMS/questasim/v6.4c/linux/vcom failed.
# Error in macro ./compile.bat line 47
# /mnt/iscsi/mgc/AMS/questasim/v6.4c/linux/vcom failed.
#     while executing
# "vcom -quiet  iu.vhd "

I checked in work directory, there is no file work.std_logic_arith, work.std_logic_unsigned, is it possible to create the files?

can anybody help me how to solve this error
 

Defining those library will not resolve the issue.

Are you using some specific function from the library ? try to compile with commenting out the library ..

or remove work directory and then compile all vhd files. which simulator you are using ? Is it trial version ?

Rahul
 

Those libraries are in IEEE not your work library. They are also depricated in favor of IEEE.numeric_std.

Regards
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top