Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Using Verilog testbench with QuartusII.

Status
Not open for further replies.

Aastik

Member level 1
Joined
Oct 8, 2005
Messages
33
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
1,476
quartusiitestbench

Hi all,

Is it possible to use a testbench written in VHDL or Verilog with QuartusII software?
I think they support only waveform files for the simulation. Am i right?
 

yupe... quartus II doesnt support testbench....

search the keyword in their website n u will get tht answer...

no testbench support for quartus II...

sp
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top