Use of a Verilog module in VHDL

Status
Not open for further replies.

tdesigner

Newbie level 5
Joined
Sep 24, 2006
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,321
Dear all,
How can I instansiate a verilog module in my VHDL code?
Thanks every one.
 

If you are using Xilinx XST, see your "XST User Guide", chapter "Mixed Language Support", section "Instantiating a Verilog Module in a VHDL Design".
 

    tdesigner

    Points: 2
    Helpful Answer Positive Rating
?Instantiating verilog module in VHDL is like instantiating any other VHDL entity. you have to follow VHDL rules like width/type matching. because VHDL will not expand/truncate and signal unlike verilog.Also verilog module must be compiled in the library before compiling VHDL.In VHDL compilation sequence is important.

you use port map for instantiating a module
Example
module vlgm(a,b,c)

architecture
begin
i1ort map vlgm(a=>vhd_a,b=>vhd_b,c=>vhd_c)

vhd_a vhd_b vhd_c are signals in VHDL architecture.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…