Jaffry
Member level 1
- Joined
- May 16, 2012
- Messages
- 32
- Helped
- 0
- Reputation
- 0
- Reaction score
- 0
- Trophy points
- 1,286
- Activity points
- 1,594
Hi all,
I have an unknown and irritating error in VHDL, I have declared my signals as std_logic, but I recieve the following error for my case strucure. (I have put both error and code snippet below)
Line 128: Type std_logic does not match with the integer literal
Please suggest what is wrong. What does it means by integer literal.
bests,
Jaffry
I have an unknown and irritating error in VHDL, I have declared my signals as std_logic, but I recieve the following error for my case strucure. (I have put both error and code snippet below)
Line 128: Type std_logic does not match with the integer literal
Code:
architecure behavahioral of data_ent is
...
signal sel_f, sel_opath : std_logic_vector(1 downto 0);
signal wr_enf0, wr_enf1, wr_enf2, wr_enf3 : std_logic;
--wr_en is the input signal with type std_logic
...
begin
...
process(sel_f,wr_en)
begin
case sel_f is
when "00" => wr_enf0 <= wr_en; wr_enf1 <= '0'; wr_enf2 <= 0; wr_enf3 <= 0;
when "01" => wr_enf1 <= wr_en; wr_enf0 <= '0'; wr_enf2 <= 0; wr_enf3 <= 0;
when "10" => wr_enf2 <= wr_en; wr_enf0 <= '0'; wr_enf1 <= 0; wr_enf3 <= 0;
when "11" => wr_enf3 <= wr_en; wr_enf0 <= '0'; wr_enf2 <= 0; wr_enf1 <= 0;
when others => wr_enf0 <= '0'; wr_enf1 <= '0'; wr_enf2 <= 0; wr_enf3 <= 0;
end case;
end process;
Please suggest what is wrong. What does it means by integer literal.
bests,
Jaffry