SystemVerilog to Verilog converter tool

Status
Not open for further replies.

Racheee

Newbie
Joined
Nov 24, 2021
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
46
Hi,
I am looking for a SystemVerilog to Verilog converter tool except for YOSYS.
Would you please help me?
Thanks
 

SystemVerilog is a superset of Verilog, which it does encompass.
A compiler for SV can also compile .v files...why do you need it?
 

Hi,
I am looking for a SystemVerilog to Verilog converter tool except for YOSYS.
Would you please help me?
Thanks

YOSYS supports some SystemVerilog.
There are plugins for full support



And there are some basic SystemVerilog to Verilog converters.

 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…