SystemVerilog to SystemC

Status
Not open for further replies.

richa.verma

Newbie level 6
Joined
Jun 5, 2012
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,348
Hello

Please help me out in converting a SystemVerilog class to SystemC module.

I have tried "scgenmod" command of ModelSim, but it is giving error
"Error: (scgenmod-19) Failed to access library 'work' at "work"."

Thanks in advance!

Richa
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…