`ifndef ADDER4TOP_V
`define ADDER4TOP_V
`timescale 1 ns / 1 ps
`include "adder4.v"
`include "hex7segc.v"
`include "clkdiv.v"
module adder4_top (
output wire [3:0] an,
output wire [6:0] a_to_g,
input wire [7:0] sw,
input wire mclk,
input wire [3:3] btn);
wire cout;
wire [15:0] digit;
wire clk_out;
wire [3:0] s;
assign digit = {{10{1'b0}}, cout, s};
adder4 u0 (
.a(sw[7:4]),
.b(sw[3:0]),
.s(s),
.cin(1'b0),
.cout(cout)
);
hex7segc u1 (
.digit(digit),
.clk(clk_out),
.clr(btn[3:3]),
.an(an),
.a_to_g(a_to_g)
);
clkdiv #(.WIDTH(15)) u2 (
.clk(mclk),
.clr(btn[3:3]),
.clk_out(clk_out)
);
endmodule
`endif /* ADDER4TOP_V */