synopsys: Synthesis using customised part

Status
Not open for further replies.

jkbagada

Newbie level 6
Joined
Aug 14, 2010
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
india
Activity points
1,361
Hello Friends

I am using synopsys Design Compiler for synthesis.
for my project i am suppose to develop a customized Latch and use it for synthesis.

I dont have much idea how to do it but i found synopsys documents on DesignWare building block IP which i am going through right now.

Does anybody have experience in this?..can you provide me some guidance and documents.

Thanks lot
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…