Synopsys power results

Status
Not open for further replies.

negreponte

Member level 4
Joined
Sep 26, 2004
Messages
68
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
629
Hi all,

i try to take some synthesys results for a system without clock. (simple combinational logic)
I run design_analyzer and I have results for frequency and area. After that I run Modelsim to generate the vcd file. The vcd file gives me the total power consumption using primepower.

The questions are the following:
How can take different measures for power changing the frequency?
Is frequency dependent on Modelsim operation scenario?


Thank you in advance
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…