strange result in transient analysis cadence

Status
Not open for further replies.

anhnha

Full Member level 6
Joined
Mar 8, 2012
Messages
322
Helped
4
Reputation
8
Reaction score
4
Trophy points
1,298
Activity points
3,684
I ran transient analysis for ring oscillator in cadence for several times and the results are very strange.
First, stop time is 20us there is an oscillation.
Next, stop time is 40us there is NO oscillation at all.
Is there something with that?
 

How did you set accuracy of the simulation?
Try to set maxstep in transient analysis few time less than Tosc (for example Tosc/10) .
Also, if you don't use initial condition or kickstart elements, place it to the your design.
 
Reactions: anhnha

    anhnha

    Points: 2
    Helpful Answer Positive Rating
Thanks for the help.
I just set these parameters:
stop time: 40u
Accuracy Defaults: moderate

Try to set maxstep in transient analysis few time less than Tosc (for example Tosc/10) .
Also, if you don't use initial condition or kickstart elements, place it to the your design.
I don't see there parameters anywhere. Could you tell me where they are?
 

Tolerance options: ADE-L -> Simulation -> Options -> Analog
Maxstep: Analysis -> tran -> Options -> Time Step -> maxstep
Initial condition: Simulation -> Convergence aids -> Initial conditions
kickstart elements: analogLib -> vpwl, ipwl (you use or initial conditions option or kickstart elements)

I believe there is VCO workshop in the cadence help, where you can find a detailed flow of simulation VCO.
 
Reactions: anhnha

    anhnha

    Points: 2
    Helpful Answer Positive Rating
Default value of maxstep is followings.
For errpreset=moderate, maxstep=tstop/50
For errpreset=conservative, maxstep=tstop/100

See "spectre -h tran".

In your case, you should set maxstep lesser than 20u/50=400n.
 
Reactions: anhnha

    anhnha

    Points: 2
    Helpful Answer Positive Rating
Well, thanks a lot sarge and pancho_hideboo!
I just set maxstep< tstop/50 and the results are OK now.
See "spectre -h tran".
How can I use it? Sorry I just a very beginner.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…