[SOLVED] Spectre VerilogA not recognize "assign" command

Status
Not open for further replies.

gutogw

Newbie level 1
Joined
Nov 27, 2017
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
21
Hi, I don't know verilog, so I decided to generate the verilog code through RTL Compiler, then I copy and paste him in the verilogA cell view in virtuoso, but when I click to "check and extract" it shows the error below.




Warning from spectre during AHDL compile.
WARNING (VACOMP-1086):
"/home/elc138/elc138-weber/Augusto_Memory/ColumnSelecter/veriloga/veriloga.va",
line 20: `assign' is not a reserved keyword now but will be one in a future release. Parsing `assign' as an identifier for now.

Error found by spectre during AHDL compile.
ERROR (VACOMP-2259): "assign data_o<<--? [0] = data_o[7];"
"/home/elc138/elc138-weber/Augusto_Memory/ColumnSelecter/veriloga/veriloga.va",
line 20: syntax error.


This is the code. It's a simple selecter.



Code Verilog - [expand]
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
// VerilogA for Augusto_Memory, ColumnSelecter, veriloga
 
`include "constants.vams"
`include "disciplines.vams"
 
// Generated by Cadence Encounter(R) RTL Compiler RC14.27 - v14.20-s064_1
 
// Verification Directory fv/Select_4 
 
module Select_4(addr_i, write_i, data_o);
  input [3:0] addr_i;
  input write_i;
  output [127:0] data_o;
  wire [3:0] addr_i;
  wire write_i;
  wire [127:0] data_o;
  wire n_0, n_1, n_2, n_3, n_4, n_5, n_6, n_7;
  wire n_8, n_9, n_10, n_11, n_12, n_13, n_14, n_15;
  wire n_16, n_17, n_18, n_19, n_89;
  assign data_o[0] = data_o[7];
  assign data_o[1] = data_o[7];
  assign data_o[2] = data_o[7];
  assign data_o[3] = data_o[7];
  assign data_o[4] = data_o[7];
...
 
endmodule;

 

You can not understand Verilog-A at all.
Your Verilog Codes are Verilog-D.
"wire" and "assign" are not available in Verilog-A.
 

    V

    Points: 2
    Helpful Answer Positive Rating

this file looks like a netlist and should be read as such within virtuoso. this is not verilog-A
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…