Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Simulation after synthesis

Status
Not open for further replies.

Patok

Newbie level 2
Joined
Apr 8, 2008
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,298
Hi,

I designed and synthesized a module in Verilog using Design Compiler and I obtained a netlist using a technology library.

Now I want to simulate it with either VCS or another program but I don't know the way. I tried saving my synthesized module as Verilog format but VCS ask for gates of the technology library (this is a ".db" file, and I can't find a command to include this format).

How can I include the technology library? or is there another way to simulate a synthesized circuit?

Thanks in advance
 

u wl get a synthesized verilog netlist after synthesis with .v extension
u need this .v netlist and verilog models of ur technology library to do the post synthesys simulation.u can do this simulation in any smulator like modelsim,NC,VCS...etc
frst u compile the verilog models of technology library and then compile and simulate the netlist.before that u have to look for the verilog models of the library
 

Here is how i went about it :
1) write RTL and test bench
2) synthesize RTL and generate netlist in .v format.
3) compile the netlist, testbench and technology library (all in .v format).

The technology libary however is different from the one you would have used while doing synthesis (which would have been in .db format).
 

so do you know how I can get a technology library in .v format? I only have the .db file. I tried to convert the tech library into .v using write_lib on dc_shell but I couldn't, it's not supported.
 

vcs or ncverilog will accept .v technology file.

ur vendor will provide .db and .v tech files..
pls search for it..
 

U may find the technology for the simulation from the verilog path.
the synopsys path (.db):
./synopsys/*.(s)db
tje simulation module path(.v):
./verilog/*.v
 

The library .v format is nothing but the major Verilog code including those " `celldefine ", and "primitives" for the technologicl cell you use in link and target library. If you cant find the .v lib file you can write it yourself, but remember to match the pin direction with the technical lib ....
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top