Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Simulating IP Core on Modelsimsim SE

Status
Not open for further replies.

dinesh.4126

Member level 5
Joined
Feb 27, 2008
Messages
83
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
1,841
simulating ipcore

Hi,
I am Trying to simulate ROM IP core on ISE10,1 using MODELSIM SE 6.1b.For simulation of IP core on modelsim will I neede to download xilinxcorelib.zip and simulation_libraries as per stated in link: **broken link removed** I am simulating without xilinxcorelib.zip and simulation_libraries its shows failed to access the xilinxcorelib..But once I copied both library in modeltech and using dos shell type compxlib -s mti_se -f all -l all -w -o . then on shell its show cae interfaces :374 incomplete argument specified.

Basically I have download the xilinxcore.zip for ISE8.2 will it make any difference if I am using ISE10.1.

Can somebody suggest me upon this????
I really stuck up at this how to simulate IP core.
Kindly Assist me.
 

xilinxcorelib modelsim se 6.1

Hi,

Your compxlib option "-o" is not valid. Type compxlib -h for all available options.

You do not need to download the files, they are supplied already with version 10.1. You only need to compile them with your simulator. I recommend to use the files for version 10.1 (and not to use 8.2) as the sources changes due to new IP cores.

Devas
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top