Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Simulate DRAM on modelsim

Status
Not open for further replies.

nsgil85

Member level 4
Joined
Dec 11, 2012
Messages
73
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
1,833
Hi all,

I'm trying to build a semi generic dual clock fifo which include B-RAM resource (spartan-6 or cyclone IV) and control unit.
I was able to synthesis the B-RAM (using ISE) but didn't get the idea how to simulate this resource in Modelsim(10.4a).

Thanks
 

It is useless to synthesize a design before verifying its functionality. In order to do this you need to write a test-bench that will simulate your design. Best would be a self-checking test-bench which apart from writing in and reading from the FIFO, should also test its overflow and underflow conditions.
 

Hi dapul,

I wrote test-bench for this fifo, but unfortunately i couldn't get Modelsim simulate it because it uses spartan-6 b-ram resource which is not recognized by.

How do i "tell" Modelsim to compile this resource?

Gil
 

You have to compile the Xilinx HDL libraries to simulate a design that contains primitives. ISE has a compxlib command or use the GUI and the compile simulation libraries menu option.

See page 321 of this to use compxlib.
This describes compiling using the ISE GUI.
 
Last edited:
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top