Simple questions about synchronizer

Status
Not open for further replies.

promach

Advanced Member level 4
Joined
Feb 22, 2016
Messages
1,199
Helped
2
Reputation
4
Reaction score
5
Trophy points
1,318
Activity points
11,636
1) For table 1 of Berkelay Paper , why "Input must be valid for at least two clock periods in the new domain." ?

The explanation:

the width of the input pulse must be greater than the period of the synchronizer clock plus the required hold time of the first synchronizer flip-flop. The safest pulse width is twice the synchronizer clock period.

Could anyone tell why it has to do with hold time of the first synchronizer flip-flop ?

2) For pulse synchronizer or what we known as toggle synchronizer, I do not understand the explanation highlighted in yellow that is given below:

This problem is more severe when the clock period of input pulse is greater than twice the synchronizer clock period

 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…