WARNING:Xst:2677 - Node <VphaseAccumulator2_31> of sequential type is unconnected in block <SweepJammingDDSInst>.
WARNING:Xst:2677 - Node <invROM1Doutb> of sequential type is unconnected in block <SweepJammingDDSInst>.
WARNING:Xst:2677 - Node <VphaseAccumulator3_31> of sequential type is unconnected in block <SweepJammingDDSInst>.
WARNING:Xst:2677 - Node <VphaseAccumulator4_31> of sequential type is unconnected in block <SweepJammingDDSInst>.
WARNING:Xst:2677 - Node <invROM2Douta> of sequential type is unconnected in block <SweepJammingDDSInst>.
WARNING:Xst:2677 - Node <invROM2Doutb> of sequential type is unconnected in block <SweepJammingDDSInst>.
WARNING:Xst:2677 - Node <invROM1DoutbS1> of sequential type is unconnected in block <SweepJammingDDSInst>.
WARNING:Xst:2677 - Node <VphaseAccumulator_31> of sequential type is unconnected in block <SweepJammingDDSInst>.
signal VphaseAccumulator : std_logic_vector(31 downto 0);
signal VphaseAccumulator2 : std_logic_vector(31 downto 0);
signal VphaseAccumulator3 : std_logic_vector(31 downto 0);
signal VphaseAccumulator4 : std_logic_vector(31 downto 0);
signal invROM1Doutb : STD_LOGIC;
signal invROM2Douta : STD_LOGIC;
signal invROM2Doutb : STD_LOGIC;
signal invROM1DoutaS1 : STD_LOGIC;
signal invROM1DoutbS1 : STD_LOGIC;
....
....
process (RAZ, Clock250M)
begin
if rising_edge(Clock250M) then
if RAZAll = '1' then
VphaseAccumulator4 <= (others => '0');
cycle <= '1';
elsif enable = '1' and S_JammingInProgress = '1' then
VphaseAccumulator <= VphaseAccumulator4 + step;
if VphaseAccumulator(30) = '0' then
adrROMA1 <= VphaseAccumulator(29 downto 15);
else
adrROMA1 <= "111111111111111" - (VphaseAccumulator(29 downto 15));
end if;
invROM1Douta <= VphaseAccumulator(31);
VphaseAccumulator2 <= VphaseAccumulator4 + stepX2;
if VphaseAccumulator2(30) = '0' then
adrROMB1 <= VphaseAccumulator2(29 downto 15);
else
adrROMB1 <= "111111111111111" - (VphaseAccumulator2(29 downto 15));
end if;
invROM1Doutb <= VphaseAccumulator2(31);
Moreover the XST tool shows the warning "<VphaseAccumulator4_31> of sequential type is unconnected in block <SweepJammingDDSInst>." instead of the signal must be connected somewhere thanks to this instruction " VphaseAccumulator4 <= (others => '0');", isn't it ?invROM1Doutb <= VphaseAccumulator2(31);
VphaseAccumulator2 <= VphaseAccumulator4 + stepX2;
VphaseAccumulator3 <= VphaseAccumulator4 + stepX2 + step;
VphaseAccumulator2 <= VphaseAccumulator4 + step * 2;
VphaseAccumulator3 <= VphaseAccumulator4 + step * 3;
Neither of these, at least for the snippet shown in your inital post. All code under if rising_edge(Clock250M) is sensitive to Clock250M only and doesn't need other entries. They are only needed for inputs to asynchronous code.First, I made a mistake : RAZAll must be in the sensitive list instead of the signal RAZ.
The signals shown as unconnected signals are juste used to store intermediate result wich will be used during the next clock ticks. So, not directly, this signals are an affect on the output signals on the top-levem module because the output signals are updated using several signals which are updated using others signals ... which are updated using the signal shown as unconnected.
Someone has an idea ?
Thanks a lot for all your answers.
The signals shown as unconnected signals are juste used to store intermediate result wich will be used during the next clock ticks. So, not directly, this signals are an affect on the output signals on the top-levem module because the output signals are updated using several signals which are updated using others signals ... which are updated using the signal shown as unconnected.
Someone has an idea ?
Thanks a lot for all your answers.
Sounds like they are just pipline registers. You usually don't get unconnect warnings for pipeline registers that are carrying actual data.The signals shown as unconnected signals are juste used to store intermediate result wich will be used during the next clock ticks. So, not directly, this signals are an affect on the output signals on the top-levem module because the output signals are updated using several signals which are updated using others signals ... which are updated using the signal shown as unconnected.
We use cookies and similar technologies for the following purposes:
Do you accept cookies and these technologies?
We use cookies and similar technologies for the following purposes:
Do you accept cookies and these technologies?