Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

seven segment connect together to make display

Status
Not open for further replies.

Esalasanjaya

Newbie level 5
Joined
May 18, 2010
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Sri Lanka
Activity points
1,351
try to make a display for print some values by using 4 seven segments. Someone help me to do this with basics!!!Thank u.
 

can you elaborate, what are you using , a FPGA or something else , again are you writing it in VHDL , or any other language?
 

Hi

It's really, really very easy.
Find the idea of circuit here.

**broken link removed**

Now, through your program, implement the following.

1. Keep unit's place display active, and others disabled. Send your data (Unit's place) to it.
2. Wait 2ms.
3. switch it off, and make ten's place data available at port.
4. Make ten's place diaplay active, and others off.
5 Do the same for next displays.
6. Repeat in cycles.


Better you'd make a separate function for this operation, and call it continuous infinite loop, while rest of your program should work through interrupts.

Hope it helps

Good Days
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top