Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Setup & Hold.(S&H)

Status
Not open for further replies.

Raptor

Member level 2
Joined
Jul 6, 2001
Messages
49
Helped
7
Reputation
14
Reaction score
5
Trophy points
1,288
Activity points
369
Hi All,

I have a question on setup and hold times. How do foundries arrive at the correct values for setup and hold values while characterizing the cell. Information on the procedure and tools that are used for this will be helpful.

Thanx in Advance.
 

FabHouse gives spice and layout files ,after drawing and extracting netlist from Layout (Eg D FlipFlop) by STARRCXT a net list is obtained.characterisation is done on the netlist with PERL scripting and H-SPice simulations it runs through BISECTION Algorithm i.e,for set up Data should be before Clk and for HOLD data should nt change after clk changes transition.it is performed for many iterations
 

Actually there is much more into Setup and hold. These timings are calculated with some worst case parameters i.e. the setup time that is shown in the cell is actually is at the worst process corner for setup time (may be SS, FF, TT). The hold time quoted is for the worst process corner for the hold time. Also the foundary test there cells quite well before providing them for any design. So the setup and hold time someone get is actually with some pessimism to accomodate the worst case corner for both setup and hold. Worst case corner of Setup and Hold need not be same. In fact they must be different.
 

hello everyone, i have a doubt regarding how the setup time gets affected.suppose u delay the clock by Tclk seconds then if the setup time of the d flip flop under for an undelayed clock is Tsu ,now how is my setup time going to change when the clock is delayed.i know the answer but iam unable to convince myself of the same.

regards
amarnath
 

the set up time after the clock is delayed will be given by Tsu - Tclk. this can be easily analysed earlier setup time was Tsu now since the clock is delayed by Tclk the input needs to be stable at time Tsu - Tclk. this has an application when the set up time of a circuit is not met the clock can be delayed by using buffers and Tsu can be met but it will be at the cost of decrease in hold time
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top