Set std_logic_vector bits in a certain range - VHDL

Status
Not open for further replies.

anasimtiaz

Junior Member level 1
Joined
Oct 21, 2006
Messages
19
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,424
Hi,

What is the best way to do the following?

Input : 0 0 0 1 0 0 0 1 0 0
Output: 0 0 0 1 1 1 1 1 0 0

i.e. set bits in a certain range?

I want to use this to form a mask to generate valid inputs in a certain range.

Any ideas?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…