Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Reset problem with spartan 3 e

Status
Not open for further replies.

gana

Newbie level 1
Joined
Jul 3, 2009
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,289
spartan3+reset

Hi everyone

I am working with a FPGA board from xilinx, I am using EDK tools for hard configuartions but I am new in this field so can you help me to begin with EDK. I have dowloaded a guide in the web site for EDK so there is an example in the guide, I followed the instructions to create a new project but at the end when I want to download the programm in the FPGA, there is message indicating that "the microblaze is under reset". I don't know how to solve this problem please it will be very nice of you if you help me to solve it.


Best regards
Ganapathy
 

spartan 3e reset

Hi,

You need to download some example to create system with microblaze from xilinx training material.

HTH,
--
Shitansh Vaghela
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top