Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

request for source code of implementation of FPGA

Status
Not open for further replies.

yinghui

Newbie level 2
Joined
Jul 4, 2011
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,292
I'm using Spartan 6,SP605.I would like to request for verilog/VHDL code for implementation of FPGA and Synchronous Serial Interface.Thank you.
 

this is not what i want..i want the source code in Verilog/VHDL language of the implementation of FPGA and synchronous serial interface.anyway,thank for your information.:smile:
 

I'm using Spartan 6,SP605.I would like to request for verilog/VHDL code for implementation of FPGA and Synchronous Serial Interface.Thank you.
Hi, Please clarify your query. If you are looking for source code for how the FPGA itself is designed and taped out, Its proprerty of Xilinx and I am afraid you will not get that.
If you have a design to be implemented in this FPGA using the Serial interface, it is possible to find the answer. Please rephrase the question.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top