Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] register coding for datapath

Status
Not open for further replies.

zadulhuda

Newbie level 6
Joined
May 11, 2012
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,352
can i get the coding vhdl register for 16 bit register to make datapath by using xilinx. Please help me.:smile:
 

I have no idea what you're talking about, but in Xilinx's ISE go under Edit -> Language Templates -> Synthesis Constructs -> Coding Examples -> (I'm guessing you're looking for something either under 'Flip Flops' or 'Shift Registers'). Have a look and modify them as you see fit.
 

what have you done so far?
i have done coding for 2-to-1 MUX, ALU and tri -state buffer.
This is my task: implement the datapath and design control words that add-up the following hexadecimal numbers:- $23,$AB,$79,$54 and $88 using the datapath.
Now, i have a problem whereas I not know what register cosing(vhdl) for 16 bit register in xilinx...can help me?
 

try looking at standard synchronous templates:

Code:
process(clk,reset)
begin
  if reset = '1' then 
    --async reset goes here
  elsif rising_edge(clk) then
    --sync stuff goes here
  end if;
end process;
 

try looking at standard synchronous templates:

Code:
process(clk,reset)
begin
  if reset = '1' then 
    --async reset goes here
  elsif rising_edge(clk) then
    --sync stuff goes here
  end if;
end process;


I want to ask you, if in my register, there are load, clear,clock and 1 input from the ALU that rename as F, can I uses this coding?

25052012218.jpg


refer to above picture, the register has 4 input which are load, clear, clock and F and D as the output, am I correct? can I uses this coding?
 
Last edited:

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top