Follow along with the video below to see how to install our site as a web app on your home screen.
Note: This feature may not be available in some browsers.
i have done coding for 2-to-1 MUX, ALU and tri -state buffer.what have you done so far?
process(clk,reset)
begin
if reset = '1' then
--async reset goes here
elsif rising_edge(clk) then
--sync stuff goes here
end if;
end process;
try looking at standard synchronous templates:
Code:process(clk,reset) begin if reset = '1' then --async reset goes here elsif rising_edge(clk) then --sync stuff goes here end if; end process;