Regarding the Compression Techiques in Design for testabilit

Status
Not open for further replies.

kiranks9

Member level 3
Joined
Feb 21, 2008
Messages
59
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,288
Activity points
1,648
Hi All
Anybody worked on both DFTMAX(Synopsys) and TestCompressor(Mentor Graphics)? What exactly difference between both? what are the merits and demerits of both tool? Please let me know
 

Re: Regarding the Compression Techiques in Design for testab

The advertised strength is different between the two: the Mentor tool claims to be better at compressing many internal chains down to very few eternal chains, whereas the Synopsys tool claims to be better at catching small delay defects and being power-aware.

If you're more curious, and want more discussion, visit DFT Forum

for DFT talk/info go to:
DFT Digest
DFT Forum
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…