Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Regarding SystemVerilog support in Modelsim SE

Status
Not open for further replies.

delon

Junior Member level 2
Joined
Sep 11, 2011
Messages
21
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,449
Hello All, I am using modelsim tools for compiling and debugging RTL for the first time. However I used VCS before.

I have access to Modelsim SE 5.8e. Does anyone know if it supports SystemVerilog features for Design and *Validation* ? I am not sure if it fully supports *SystemVerilog for Validation*. If no, then I would appreciate if anyone can suggest me any other validation methodology which can be used to validate my RTL design.

Thanks in advance.
 

Modelsim support most of SystemVerilog except for randomization, functional coverage, and assertions. However, that version of Modelsim is so old, and SystemVerilog was so new at the time that not much is supported.
 

Modelsim support most of SystemVerilog except for randomization, functional coverage, and assertions. However, that version of Modelsim is so old, and SystemVerilog was so new at the time that not much is supported.

Thanks a lot for your input. I can do all my RTL coding in verilog. I was mostly interested to use SystemVerilog to build the verification/validation infrastructure. I can always use C/C++ to build the software model but I am not sure how to interface them with verilog code and do stress test the hardware to get 100% coverage . Any suggestion regarding this ?

I used SystemVerilog for validation before to validate some RTL cores and really liked it's validation features. I am a newbie in this sort of work. So, any advice/suggestion will be highly appreciated.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top