Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Regarding NCO (Numerically controlled oscillator)

Status
Not open for further replies.

paramesh

Newbie level 5
Joined
Apr 24, 2008
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,346
numerically controlled oscillator tutorial

Hi all ,


I need to design NCO( Numerically controlled oscillator) by using look up tables.
I dont have any Idea how to store the data in LUT and access the same.
Currently I am working with DSP processor, I need to develope this using C.

can any one help me regarding this.

thanks,
 

numerically controlled oscillator

Hi Paramesh...
plzz check out the paper having this title.
IEEE paper:
"Methods of Mapping from Phase to Sine Amplitude in Direct Digital Synthesis"
 

nco numerically controlled oscillator

A LUT for a processor or DSP is a constant array in RAM or ROM, intializied in your source code. As C compilers normally don't have an option to calculate tables at compile time, you have to use a script interpreter that can perform sine function or calculate the table offline (e. g. with a spreadsheet program) and insert the table into your code.

In VHDL FPGA prgramming, you would be able to initialize a sine ROM at compile time from a few lines of code.
 

numerically controlled oscillator example

Take a look at the following DDS (Direct Digital Synthesizer) tutorial by Analog Devices.
It explains clearly the general architecture of a DDS and it is a good starting point for your design.
You could also download the datasheets of DDC (Digital Down Converter) chips (e.g AD6624, AD6636 by Analog Devices) and examine the NCO sections, but I think that this should not add anything new.

Regards
Mowgli
 

tutorial numerically controlled oscillator

Hi all,

Help regarding the NCO Concept.

Means what are the inputs, What is the purpose of phae accumulator , what is the function of Phase to ammplitude converter and what is output form.

If possible with simple example.

thanks,
 

numerically controlled oscillator

For NCO, the input is the frequency (often named as tuning word) - let's say w. Then the phase accumulator will integrate the frequency and get the phasee (phase = w*t). Finally there is a LUT to map the phase to amplitude (phase -> sin and cos). That's all
 

numerical controlled oscillator

XHL said:
For NCO, the input is the frequency (often named as tuning word) - let's say w. Then the phase accumulator will integrate the frequency and get the phasee (phase = w*t). Finally there is a LUT to map the phase to amplitude (phase -> sin and cos). That's all

thanks for ur reply

I didn't get clearly,

you are telling input to the phase accumulator is frequeny tuning word,

my doubt is Based on what factor Phase accumulator increment the phase and up what value it will increment.

can you explain clearly, if possible with simple example. I am new to this domain.

thanks
 

ad6636 forum

Dear Paramesh,

take a look at the tutorial I posted last time: you do not have to read all the pages. I suggest you to read at least chapter 2, 3 and 4 which clearly explain (in my opinion) the basic concepts of digital frequency synthesis necessary also to design a SW NCO in a DSP processor: the theory is the same for HW and SW implementations, the main difference is that instead of an external clock (the tutorial was aimed to HW designer) you have a timing which is given by the sample rate used to perform phase increments and access to the LUT array. Obviously with a sw NCO implemented on a DSP you could generate very low frequency values (e.g. < few MHz if you use a fast DSP) compared to those generated by a dedicated HW, like the DDS chips described in the tutorial.

Regards
Mowgli
 

Re: numerically controlled oscillator example

mowgli said:
Take a look at the following DDS (Direct Digital Synthesizer) tutorial by Analog Devices.
It explains clearly the general architecture of a DDS and it is a good starting point for your design.
You could also download the datasheets of DDC (Digital Down Converter) chips (e.g AD6624, AD6636 by Analog Devices) and examine the NCO sections, but I think that this should not add anything new.

Regards
Mowgli
please send me the flowchart of your nco code, i am getting difficulty in understanding it.i will be thankfull to you for this kind
 

hi all,
I have a difficulty to understand the NCO operation. Can you please give me further details because i will model it in vhdl code
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top