Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Regarding FPGA UART and pin compatibilty

Status
Not open for further replies.

Nbj123

Junior Member level 1
Joined
Jul 12, 2019
Messages
18
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
164
Hello,

I am using two FPGA in my two different project. one FPGA is XC7Z2035 -676I and second is XC7Z045-900I.

i have found that pin compatibility of both FPGA is same.

So can i use XC7z045 -900? is there any change in configuration. my idea is to check Decoupling, JTAG all the configuration in one PCB.

Please guide me regarding that.

And another query is i want to know the UART pins of XC7Z045, it is possible to use direct UART pins, rather than using USB to UART.



Please guide me as soon as possible.



Thank you.
 

The two different devices have different size configuration (bit) files. I don't think the programmer will let you program one device with a bitfile intended for a different one. You could just take your code and recompile it for the target device; that's not a big deal.

What do you mean "use direct UART pins"? Are you asking if you can use the UART pins as UART pins?
 

You mentioned pin compatibility is the same, but occasionally there might be pins on the smaller device that aren't bonded out on the larger device in the same package. If you set the device setting in the Vivado tools to allow migration to the large target device you can then run the drc checks on the old design. This will make sure the pinout will work for both the current device and the migration device. Once you've got a good drc you can just swtich to the migration device and run a new build to get the updated bitfile.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top