Questions about Delay Line~

Status
Not open for further replies.

ZengLei

Full Member level 1
Joined
Jan 24, 2006
Messages
99
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
WuHan China PR
Activity points
2,143
Hi,all
In where we shuold use a Delay Line?
How many kinds of Delay Lines now in the market?
I want to delay my signal about 0.5ns,i searched on the internet,Only found MAxim has the delay line product,are there any other manufacturers offer Delay Line?
Is is possible to delay a digital signal about 0.5nanosecond by FPGA?
 

Delay in the ns range are achieved in a FPGA easily, usually it's sufficient to set respective timing constraints, but you can also manually insert logic cells, that are protected by synthesis attributes. Expect about 3 or 4 logic cells for 1 ns delay.

In the analog world, LC delay lines are most versatile to achieve delays. They are also available as packaged parts. www.datadelay.com has a rich choice of active (digital logic) and also passive (LC) delay lines.
 

    ZengLei

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…