Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] question on vcs -f filelist ?

Status
Not open for further replies.

sxlwzl

Newbie level 4
Joined
Jul 12, 2013
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
29
my fold contain these files:
top.sv
dpi.h
dpi.cc

I do vcs command like this :
vcs -sverilog top.sv dpi.c -R
The result is ok.

But when use file list options like this:
vcs -sverilog -f filelist -R
$cat filelist
top.sv
dpi.h
dpi.cc

the result is wrong.

can any body help me about this question, thanks.
 

Hi,

What was the error message?

thanks.
 

Hello,

APMO, -f is used for pnly verilog files, u can not define .cc file in filelist.
So please try following :
vcs -sverilog -f filelist dpi.cc -R.

Please let me know if solved or not.

Regards,
Maulin Sheth
 

Hi,

What was the error message?

thanks.
here is the wrong message.

20140516162837.jpg

- - - Updated - - -

Hello,

APMO, -f is used for pnly verilog files, u can not define .cc file in filelist.
So please try following :
vcs -sverilog -f filelist dpi.cc -R.

Please let me know if solved or not.

Regards,
Maulin Sheth

the method you provide can solve the problem.
but when i have too many cc file, this way do not a good solution.
can you find out another way that can include cc file in filelist. thanks.
 

Hello,
Can I know what the content of your .cc file, what is the purpose of that .cc file in simulation?

Regards,
Maulin Sheth
 

Hello,
Can I know what the content of your .cc file, what is the purpose of that .cc file in simulation?

Regards,
Maulin Sheth

print.h
Code:
#include <stdio.h>

extern "C" {
    void c_print();
}

print.cc
Code:
#include "print.h"

void c_print(){
	printf("c_print\n");
}

top.sv
Code:
module top;

import "DPI-C" function void c_print();
initial
begin
	$display("sv_print.");
	c_print();
end

endmodule

I just want to know how to add cc files to vcs, because many model is writed by cc.
 

Here is one way to do it:

1) Compile your C code with GCC or G++
2) Next, if the C code was compiled with x86_64 bit. Thus, to make it VCS simulator compatible with the generated C library file (*.o, *.so) use this line of command:

vcs -full64 -sverilog -R +define+VCD -f ../../sim/filelist.f clk_xactor.o

Hope it helps.

-no_mad
 

Hi no_mad,
I see you post a article at https://www.edaboard.com/threads/206597/
thanks your sharing.
sxlwzl

Here is one way to do it:

1) Compile your C code with GCC or G++
2) Next, if the C code was compiled with x86_64 bit. Thus, to make it VCS simulator compatible with the generated C library file (*.o, *.so) use this line of command:

vcs -full64 -sverilog -R +define+VCD -f ../../sim/filelist.f clk_xactor.o

Hope it helps.

-no_mad
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top