Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Question,how read image in vhdl?

Status
Not open for further replies.

luma

Newbie level 4
Joined
Nov 17, 2012
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,322
Hello everybody,

I am a beginner in write VHDL program.
Please help me,I want to enter a 20 images size of 240 * 320 using vhdl and store them ,then i need them for further processing.
 

BMP is useful format for input and output iv VHDL.
You can write the procedures to read and write such a file using the shared variables.
 

aser, that's only appropriate for testbench. and you do it with anything, not just shared variables.

op: do you need to do this on hardware or.just a testbench?
 
  • Like
Reactions: hea123

    hea123

    Points: 2
    Helpful Answer Positive Rating
Thanks for your help ,
I need it to implementation on FPGA ;
Now,I convert them to text file but I wonder about the next step?
How can I read from the file to perform the mean and standard deviation on the images?
 

Hello everybody,

I am a beginner in write VHDL program.
Please help me,I want to enter a 20 images size of 240 * 320 using vhdl and store them ,then i need them for further processing.

You need to first make a block RAM, if you consider the hardware implementation and feed it with the image bits. It depends on what you consider the word size and column size to be in the RAM design, which will influence how you read those bits in your FPGA. Their's IP core for the designing of block RAM.
 
aser, that's only appropriate for testbench. and you do it with anything, not just shared variables.

op: do you need to do this on hardware or.just a testbench?
The initial question was about pure VHDL.
There is no idea to load and store the image in FPGA for the beginner.
A simplest approach consists in using RS-232 interface.
One can load 20 images sequentially from PC into block-RAM or outer SRAM,DRAM,
and store it backward.
For this purpose UART and proper FSM are needed.
The more smart solution is Microblaze instantiation with proper RTOS, which can load images throug Ethernet.
I think that both solutions are not for beginners.
 

The initial question was about pure VHDL.
There is no idea to load and store the image in FPGA for the beginner.
A simplest approach consists in using RS-232 interface.
One can load 20 images sequentially from PC into block-RAM or outer SRAM,DRAM,
and store it backward.
For this purpose UART and proper FSM are needed.
The more smart solution is Microblaze instantiation with proper RTOS, which can load images throug Ethernet.
I think that both solutions are not for beginners.

Thank you, Mr.aser

My project deal the FPGA (Spartan3A) implementation of Horprasert model this model used for moving detection object.
I will use Microblaze for training background model (taking 20 frame and then compute the mean and standard deviation for each pixel and then compute the brightness and color distortion).
Please, I need your advise how can I begin to understand the microblaze (What are the locations/books you advise to me to read)?, I know that it is used C/C++ language .

I would be so happy for your reply .
 

Thank you, Mr.aser
I need your advise how can I begin to understand the microblaze (What are the locations/books you advise to me to read)?, I know that it is used C/C++ language .
.
All the information is present in the Xilinx site. The methods for preparing programs are present in proper application notes as well.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top