Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Questa Sim 10.0b with DPI error

Status
Not open for further replies.

ireon

Junior Member level 2
Joined
Mar 28, 2013
Messages
21
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,455
Questa Sim 10.0b with DPI

Hello, I'm using Questa Sim 10.0b for Test bench with System Verilog and UVM. I did some examples and they works, but I have problems using DPI, in fact after writing my C function and importing it in SystemVerilog code, when I compile get the following error:

"# ** Error: (sccom-95) Your installation directory does not contain the appropriate GNU C++ compiler. Download and install the tarball from the ftp site (gcc-4.2.1 -mingw32vc9.zip)."

Now after downloading the compiler how do I configure it in Questa Sim?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top