Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Quartus || - Timing simulation problem

Status
Not open for further replies.

MAAASD

Member level 4
Joined
Feb 24, 2012
Messages
76
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,832
heey all,
i've done writing a vhdl code on Quartus || but i faced this problem
when i simulate in functional simulation mode, the circuit is doing well and the output comes as it's expected!
but when simulating the whole code on Timing mode, the circuit seems not to function correctly and the output is wrong for the same input of the above iteration!
if the problem is due to delay, please tell me how can i solve it
and will i face problems when downloading the code on FPGA ?
...
Thanks in advance!

---------- Post added at 20:50 ---------- Previous post was at 20:25 ----------

Please all, i would be very grateful if you could help me in my project :)
 

It sounds like either of two issues:

1) Your timing simulation is not being setup and/or run properly, or
2) You have timing issues. When you compile your design do you get any timing errors? Have you set your timing constraints properly?
 
  • Like
Reactions: MAAASD

    MAAASD

    Points: 2
    Helpful Answer Positive Rating
i don't know how to set the timing constraints
 

Maybe you should join a global clock to the code. If it is necessary, you can change your code into synchronous logic.

---------- Post added at 08:43 ---------- Previous post was at 08:41 ----------

It's difficlut to identify specific problem without any codes.
 

If you could tell me how can i set a maximum frequency requirement i would be very grateful i've just searched for it
i didn't get what i want!
 


Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top