Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

pulse generator in vhdl

Status
Not open for further replies.

ZeleC

Full Member level 5
Joined
Dec 18, 2002
Messages
261
Helped
3
Reputation
6
Reaction score
1
Trophy points
1,298
Activity points
2,173
pulse generator vhdl

hello anyone got links or app notes for doing a edge triggered pulse generator to be implemented in a cpld
thank you
 

vhdl pulse generator

Hi,
You can use asimple code to generate this. Make an output high at the clock' event and after a fixed delay pull it down. This acts like a mono shot.
B R M
 

pulse generator vhdl code

Hi ZeleC,

Couldn't you reuse this ?


:wink:
 

well r_e_m_y im trying to search some another code
well there is a problem with such a trigger generator
suppose that i have a 1 second clock
if i want for example a pulse of 2 sec well i will not get exactly 2 sec i will get 2 sec + ,because the trigger pulse is in between
wht i want is when the cicuit is just trigger to start counting.
i hope that you understood what i mean .

and hope to have some ideas from you friends .
thank you .
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top