Procedure for dump fsdb use ModelSim(winnt , verilog)

Status
Not open for further replies.

realtek

Member level 5
Joined
Mar 15, 2004
Messages
89
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
870
Procedure for dump fsdb use ModelSim(winnt , verilog)
1.install Modelsim
2.install Debussy
3.
//add fsdb PLI in your testbench
initial begin
$fsdbDumpfile("pattern.fsdb";
$fsdbDumpvar(0,pattern);
end
4. copy debussy/share/pli/modelsim/novas.dll
copy debussy/share/pli/modelsim/novas_verilog.v
to your module directory
5. change modlsim.ini writeable(defaule is readonly)
mark Veriuser=....
then add veriuser=novas.dll
6.use modelsim to compile your design, don't forget include novas_verilog.v
7.simulate -> Run All -> finish

you will see pattern.fsdb in this folder

becsuae many people ask about this question,
so I re-write a more clear procedure.
enjoy it!
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…