Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Problem with importing Verilog to Cadence as it only creates symbol and functions

Status
Not open for further replies.

letan

Member level 3
Joined
May 21, 2007
Messages
64
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
VietNam
Activity points
1,713
Hi everybody!

I import verilog use Cadence but it only create symbol and funtional. I don't know why?

And I need a book abour verilog logic synthesis. May anyone help me?

Thanks
 

Re: Help me!

If you alrady familiar with Verilog then take a look at "Verilog HDL Synthesis - A Practical Primer", its one of the good books that I've read so far.
 

Re: Help me!

Hi letan!

You should guide Verilog in at Cadence. If you want to have schematic then your verilog netlist is structural cell, not behavial cell, and ....

I just read it because I don't know it right......
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top