Nick Ostro
Newbie level 3
- Joined
- Jul 28, 2013
- Messages
- 4
- Helped
- 0
- Reputation
- 0
- Reaction score
- 0
- Trophy points
- 1
- Location
- Athens,Greece
- Activity points
- 28
hello everyone,i am currently working on a multistage interpolator x2,so i need to create a multiplexer controlled by the clock of my design.i want the multiplexer to give input a(in_a) when the clock rises and input b when the clock falls.i have written the following code(which works in modelsim)
but in xilinx gives the following message:
WARNING:Xst:647 - Input <in_b> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
.any ideas?
Code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use ieee.std_logic_unsigned.all;
entity muxaki is
Port ( in_a : in signed (15 downto 0);
in_b : in signed (15 downto 0);
clk : in STD_LOGIC;
-- clk_aplo_dia2 : in STD_LOGIC;
mux_out : out signed (15 downto 0));
end muxaki;
architecture Behavioral of muxaki is
SIGNAL not_clk: STD_LOGIC;
begin
process (clk,not_clk)
begin
if rising_edge(clk) then
mux_out <=in_a;
elsif rising_edge(not_clk) then
mux_out <=in_b;
end if;
end process;
not_clk<=not(clk);
end Behavioral;
but in xilinx gives the following message:
WARNING:Xst:647 - Input <in_b> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
.any ideas?