Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

PrimeTime & Formality

Status
Not open for further replies.

Wenf.Yeh

Junior Member level 3
Joined
Aug 21, 2007
Messages
28
Helped
4
Reputation
8
Reaction score
2
Trophy points
1,283
Activity points
1,475
Hi guyes,

1. what should I do if I have found sth wrong in my design's hold time using PrimeTime and I want to fix it now(I don't want to fix it in the post-layout progress)? should I dump out some files in the PT, and let DC eat them to resynthesis the design?

2. if I found some thing wrong in the implementation design (gate-level) VS reference design(RTL) LEC,and I can figure out why my Implementation design goes wrong , what can I do to get my design successfully verified ? dump files? resynthesis design using DC? or can I change the netlist mannually?

Arthur
 

As far as logical equ failing is concerned
Try to re-synth design, with some options set, which may prevent LEC failing.
For example, if LEC fails because synthesis process has optimized away some registers, which it shouldn't have done, then you can use switches in dc so that it does not optimize them away.
If your re-synth cannot solve the problem, its best to report it to Synopsys, or the tool vendor.
Hand editing of netlist is not recommended unless you have tried everything.
Kr,
Avi
http://www.vlsiip.com
 

thx Avi,
I konw it is not a good idea to editing netlist,but coulde you tell me how can I set variables in DC corresponding to the LEC's problem,or coulde upload some meterials. u know , I'm a freshman in this area.
I'm waiting for ur answer to the Qs.1
thx!
 

Hey weng,
Usually, DC will fix hold violations if you have set set_fix_hold on all clocks. If bot find out the common points in Design to fix hold violations. Few buffers inserion should solve the problem . This set can be created using what if analysis in PT and write out the information from PT and DC will use this data to correct your hold violations.

some times constraints will fool you to show the wrong hold violations. for ex, your multicycle path constraints. If you adjst path for setup and forgot to adjust MCP for hold multiplier, then you will get wrong hold violations. make sure your constraints are correct.

Good luck..

Regards,
Sam
 

Hi,Sam
What should I do if I want to turn off hold time check in DC?
thx!
best regards.
Arthur
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top