thanks ! please check for me :
--------
Quiz 1 :
+ case data type is unsigned :
a. "0110" > "1001" : syntax correct , result : false ( 6 > 9)
b. "0110" > "0001001" : syntax correct , result : false ( 6 > 9)
c. 2#1010# > "1010" : ? ( i dont know)
d. 1010 > "1010" : ? ( i dont know)
+ case data type signed :
a. "0110" > "1001" : syntax correct , result : true ( 6 > -7)
b. "0110" > "0001001" : syntax correct , result : false ( 6 > 9)
c. 2#1010# > "1010" : ? ( i dont know)
d. 1010 > "1010" : ? ( i dont know)
--------------
Quiz2 :
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
......
signal s1, s2, s3, s4, s5, s6, s7: std_logic_vector(3 downto 0);
signal u1, u2, u3, u4, u5, u6, u7: unsigned(3 downto 0);
signal sg: signed(3 downto 0) ;
......
U1 <= 2#0001#; -- error -- edited : U1 <= to_unsigned (2#0001#,4);
u2 <= u3 and u4; -- correct
U5 <= s1 + 1; -- error -- edited : U5 <= unsigned(s1) + 1;
u6 <= u3 + u4 + 3; -- correct
u7 <= (others=>'1'); -- correct
s2 <= s3 + s4 -1; -- error -- edited : s2 <= std_logic_vector(unsigned (s3) + unsigned (s4) -1);
s5 <= (others=>'1'); -- correct
s6 <= u3 and u4 ; -- error -- edited : s6 <= std_logic_vector(u3 and u4);
sg <= u3 - 1; -- error -- edited : sg <= signed(u3) - 1;
s7 <= not sg; -- error -- edited : s7 <= not std_logic_vector(sg);
-----------------
please help me check answers and complete miss .